2009-10-01から1ヶ月間の記事一覧

ノイズ鳴らしでハマった。

PSG再生、WAV再生はしたことあるのに NOISE再生だけはしてないなーって思い立ってコード書いたのが昨日。 書いてはみたものの、全く持って鳴ってくれなくて、 無駄に悩んだ挙句、解決できず不貞寝。 週一の唯一の楽しみである特撮・アニメタイムを消化したの…

ノイズ再生関数用サンプルプログラム。

NDSのノイズチャンネルでノイズを鳴らすサンプルです。 どんな音が出せるのか知りたくて書いただけで ハードウェア的な知識を僕は持ってません。ごめんなさい。 直接制御をしたいならNDSTEKとかで勉強することを進めます。 メインストリートで活躍なされてる…

キーパッドっぽい何か。

最近開ける場面ではノート開いてコーディングしてる気がします。 使ってる電車が基本座れないくらい利用客が多いのですが それでも開けるのは LOOX U の小ささのお陰とも言えます。 お絵描き学習用に買ったはずなのにいつのまにか、 グラフィックアプリ以外…

実行ファイルサイズの違い。

devkitPro の旧バージョンと新バージョンで 同一ソースビルドしたら新の方がサイズ小さくなってたw 「それが普通でしょ?」って言われそうですが。 バージョンアップにてライブラリの最適化がなされてるわけだから ビルド後の実行ファイルサイズにもその結…

リセットっぽい何か。

実機のハードウェアリセットを実現する為のモジュールです。 所謂ソフトウェアリセットというやつです。 最も上位(ルート)に位置するものとして、 いつでもリセットを掛けれるようにという想定のものです。 独断と偏見により L + R + START + SERECT をリ…

ボイス素材。

ボイス素材と言えば今年の夏コミで阿久女イクCDスペシャル版買いましたよと。 阿久女イクCDスペシャル版 問題は全てにおいてエロボイスしか喋ってくれないところですか(藁)。 全編エロシナリオなアドベンチャーゲームや、 エロボイスしか喋らないような調…

羅之兵衛。

なんとなく気になり。 らのべえ 「デジタル・ラノベ」作成ツール『らのべえ』公式サイト | イーフロンティア http://lanovee.e-frontier.co.jp/ 絵素材・サウンド素材がプリセットされてるノベルスクリプト。 リソースが揃ってるんなら NScripterや吉里吉里…

今日買ったムック。

ゲームラボ特別編集 NDSスーパー活用テクニック2009 「いつかは君もスタープログラマー! DSゲームのつくりかた」というimaha486氏執筆の、 devkitPro 開発環境を使ったプログラミング解説記事が8ページ設けられている。 この手の本でHomebrew開発をちゃんと…

今日買った定期購読誌。

ゲームラボ 2009年11月号 DSハッキングのコーナーは前回に引き続き ボイスレコーダープログラムの作成な内容。 結構な連載を続けてることだし NDSプログラミング本として単行本化しないかなぁ。>もっと脳を鍛えるよい子のDSハッキング

今日買ったゲーム開発系技術書。

弾幕 最強のシューティングゲームを作る! 先物買い。 するのは良いんだけど…本棚が足りない! でも止めないw

RPGツクールDSが来年1月発売決定!

一昨日情報解禁になったみたいすね。 今週のファミ通にも2ページばかし記事があった。 日本語入力にATOK搭載とか言われてもw RPGツクールDS http://tkool.jp/products/rpgds/index.html アマゾン限定スペシャルエディションも出るらしい。 RPGツクールDS ス…

キーパッド作成中っぽい何か。

書き直すこと3度目。 ビルド通したのがお昼。バグ取ったのが夜。 残す課題は演算部と描画部の分離。 ソース見返したらキーカーソルの移動周りが主な描画部だった。 演算部はというと K Port をシミュレーションする為の処理。 見事に干渉しない関係だったの…

FXPファイル読み書きっぽい何か。2

1本ソースをFXPモジュールとして分離し、 ファイル読み書きの状態遷移からFXPモジュールを呼び出して 選択実行するように変更しました。 メモリモジュール、ダンプモジュール、の統合確認も含めてます。 テストコードのビルドには先に公開した メモリモジュ…

NDS バナータイトル変更っぽい何か。

自作プログラムのタイトルは通常ビルドすると 決まって devkitPro のコピーが挿入されてしまいます。 コピー挿入処理はビルド時の arm7 コードと arm9 コード結合時に実行される ndstoolに含まれる機能でもって処理がなされてます。 ビルドして出力された *.…

メモリダンプっぽい何か。

モニタ中、またはCPU実行中にリアルタイム表示するメモリダンパです。 ビルドには一昨日に公開したメモリモジュールも含める必要があります。 主要な描画をDumpDrawへまとめて、 初期化や状態切替はDumpでまとめてます。 モニタシーケンス内の エディットシ…

FXPファイル読み書きっぽい何か。

少し前に、一本ソースでとりあえず書いてみました。 NDS で FXP フォーマットを読み書きするコードです。 これだけでは単にFXPファイルの読み書き関数があるだけに過ぎず、 実際には状態遷移で動作を制御するコードがあってはじめて 実用できるものになるの…

メモリっぽい何か。

ヘッダインクルードしたソースのみに グローバル変数を公開するという仕様が頂けないのは承知ですが よさ気な実装が浮かばず。 とりあえずメモリに影響を与える処理の為にこさえてみた暫定版です。 必要あるのか謎な定数群は性格の問題でしょうかね。>俺 プ…

最近買った本とか。

デザエモンKids! ●オフィシャルガイドブック● Visual Basic ゲームプログラミングの応用50例 トータルテクニック編 Visual Basic ゲームプログラミングの応用50例 パワーテクニック編 デザエモンKids!本は掘り出し物。存在すら知らなかった。 あとVB本2冊。…

今日買った本とか。

レベルデザイナーになる本 夢中にさせるゲームシーンを作成する ダンジョンゲームプログラミング 1982年版 最新マイコンBASIC規格表 ゲームデザイン・バランス等のゲームの面白さの設計に関するゲームプランナー本と、 ローグモドキのサンプル作成から始まる…

devkitPPC release 18 is final?

devkitPro http://www.devkitpro.org/ libogc 1.8.0 の更新内容が中々アツイ。 ファイナルって、PPC 関連はこれでおしまいなの?

プログラムは体で覚えるもの。だと思う。

本読んだり、人に教え乞うたりしても結局のところ人間は、 自分の頭で考え・悩んでコード書かなくちゃ いつまで経ってもプログラムなんて覚えられん訳なのです。 プログラムは体で覚えるもの。少なくとも俺はそう思う。

アウトプットっぽい何か。2

先日公開した Outport モジュールはダイナミック点灯な作りであって、 そのままスタティック点灯に利用するには不便でしたので 結構な手直しを行いました。 Outport.h, Outport.c を変更し、 サンプルプログラムの main.c も併せて変更しました。 OutportPri…

アウトプットモジュール改良中っぽい何か。

昨日付けでアップしたモジュールですが スタティック点灯(必要なときだけ描画を更新させる)を想定してない作りだったので 改良中だったりします。 main関数を見れば分かるように 現在ダイナミック点灯で描画させてます。 更新の大半がスプライトなら然程気…

アウトプットっぽい何か。

先日の2進LED, 7セグLEDの改良版。アウトプットに集約。 これによって両LEDは描画に専念するのみとなりました。 一応目的があってこのような構造としました。 7セグLEDの指摘があった箇所は リソースを揃えたら直してしまうつもりです。 Outport module test…

ソースコードの被害は最小限で済んだっぽい。

ソースコードの被害は最小限で済んだっぽい。 不幸中の幸いというか。 ・作業中のソース、リソースは持ち運び出来るようにMicroSDに保存してた ・資料は紙ベース、またはMicroSDに保存してた ・作り終わったソースを公開してた(一部のみ、リソースを含まな…

フェイタルエラー。

ハードディスクが論理破損なんて初だお。 落書きとかソースコードとか、よりにもよって自前リソース保存用ドライブが飛ぶなんて。 仮面ライダーWのOPでも聞いて気を紛らわすくらいしか(涙)。 今期アニメのOPを「W-B-X 〜W Boiled Extreme〜」にしてみた 【…

2進LEDっぽい何か。

2進LEDっぽい何か。寝起きの落書き。 昨夜の7セグLEDモジュールをベースに弄ってるので捻りないです。 16進数から2進数変換とかのエレガントなコードなら他所にいっぱいありますお。 devkitPro1.5.0用です(1.4.9で書いてますが多分大丈夫。)。 BinLED test…

7セグLEDっぽい何か。

7セグLEDっぽい何か。 そんな感じのモジュールを書いてみたり。 よくよく見直すと頂けない箇所が結構。 便所の落書きということで。 devkitPro1.5.0用です(ということにしておきます。)。 NumLED test main.c /*-----------------------------------------…